Docsity
Docsity

Prepare-se para as provas
Prepare-se para as provas

Estude fácil! Tem muito documento disponível na Docsity


Ganhe pontos para baixar
Ganhe pontos para baixar

Ganhe pontos ajudando outros esrudantes ou compre um plano Premium


Guias e Dicas
Guias e Dicas

Lista de Exercício- Função de Transferência e Modelagem, Exercícios de Engenharia Elétrica

Lista de Exercício- Função de Transferência e Modelagem

Tipologia: Exercícios

2021

Compartilhado em 14/11/2021

paulo-bandeira-junior
paulo-bandeira-junior 🇧🇷

6 documentos

1 / 6

Toggle sidebar

Esta página não é visível na pré-visualização

Não perca as partes importantes!

bg1
Sistemas Digitais
Lista de Exercícios Sistemas Digitais – 02
Prof.: Alexandre Lopes. Data: 26/04/2021
Disciplina: Sistemas Digitais
1) Utilizando o modo editor gráfico (Desenho Esquemático) do Software Quartus
II, projete e simule (diagrama de tempos) os circuitos digitais que satisfaçam
as expressões algébricas dadas:
2) Utilizando o modo editor gráfico (Desenho Esquemático) do Quartus II,
projete e simule as funções lógicas abaixo:
3) Projete um circuito utilizando VHDL que execute simultaneamente as funções
lógicas dadas:
4) Implemente as funções abaixo considerando o modelo VHDL comportamental
e estrutural. Compile e simule o modelo para verificar a sua funcionalidade.
5) Um multiplexador é um circuito que dado um certo número de dados de
entradas e um sinal de seleção direciona para sua única saída a entrada
determinada pelo sinal de seleção. A tabela da verdade abaixo apresenta os
valores possíveis de um multiplexador com duas entradas DI0 (data input 0) e
DI1 (data input 1). Escreva a arquitetura deste circuito em VHDL de acordo
com a entidade apresentada e simule para verificar a funcionalidade.
pf3
pf4
pf5

Pré-visualização parcial do texto

Baixe Lista de Exercício- Função de Transferência e Modelagem e outras Exercícios em PDF para Engenharia Elétrica, somente na Docsity!

Lista de Exercícios Sistemas Digitais – 02 Prof.: Alexandre Lopes. Data: 26/04/ Disciplina: Sistemas Digitais

  1. Utilizando o modo editor gráfico (Desenho Esquemático) do Software Quartus II, projete e simule (diagrama de tempos) os circuitos digitais que satisfaçam as expressões algébricas dadas:
  2. Utilizando o modo editor gráfico (Desenho Esquemático) do Quartus II, projete e simule as funções lógicas abaixo:
  3. Projete um circuito utilizando VHDL que execute simultaneamente as funções lógicas dadas:
  4. Implemente as funções abaixo considerando o modelo VHDL comportamental e estrutural. Compile e simule o modelo para verificar a sua funcionalidade.
  5. Um multiplexador é um circuito que dado um certo número de dados de entradas e um sinal de seleção direciona para sua única saída a entrada determinada pelo sinal de seleção. A tabela da verdade abaixo apresenta os valores possíveis de um multiplexador com duas entradas DI0 ( data input 0 ) e DI1 ( data input 1 ). Escreva a arquitetura deste circuito em VHDL de acordo com a entidade apresentada e simule para verificar a funcionalidade.

entity MULTIPLEXER is port ( SEL: in std_logic; DI0: in std_logic; DI1: in std_logic; D0: out std_logic; ); end entity;

  1. Projete um circuito digital, com lógica programável, com três entradas X, Y e Z, cuja saída será nível alto apenas quando a minoria das entradas for nível “1” (alto). Crie um símbolo gráfico para o circuito e simule o circuito obtido.
  2. Projete um circuito digital, com lógica programável, que satisfaça a tabela- verdade mostrada abaixo. Simule a saída em função das entradas. A B C S 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 0

entity detector_poluicao is port (sensores : in bit_vector (0 to 6); alarme : out bit); end detector_poluicao; architecture estrutura of detector_poluicao is component contabits is port (entradas : in bit_vector 0 to 2); saidas : out bit_vector (0 to 1)); end component; component circuit_b is port ( entrada1, entrada2 : in bit_vector (0 to 1); entrada3 : in bit; saida : out bit); end component; signal conector1, conector2 : bit_vector (0 to 1); begin circ1: contabits port map(sensores (0 to 2), conector1); circ2: contabits port map(sensores (3 to 5), conector2); circ3: circuit_b port map(conector1, conector2, sensores(6), alarme); end estrutura;

  1. Considere o seguinte bloco de três entradas e duas saídas cuja funcionalidade está descrita na tabela da verdade abaixo:
  2. Escreva a declaração da entidade.
  3. Escreva a arquitetura da entidade usando o estilo estrutural. Use somente a seguinte entidade como componente:

entity NAND2 is port ( A, B : in Bit; Z: out Bit); end NAND2;

  1. Escreva a arquitetura da entidade usando o estilo comportamental. Use apenas a instrução if-then-else.
  2. Escreva a arquitetura da entidade usando o estilo comportamental. Use apenas a instrução case.
  3. A figura abaixo mostra o cruzamento de uma rodovia com uma via de acesso. Sensores detectores de veículos são colocados ao longo das pistas C e D da rodovia e das pistas A e B da via de acesso. A saída desse tipo de sensor está em BAIXO quando não existe nenhum carro presente e está em ALTO quando um veículo está presente. Um sinal de trânsito colocado no cruzamento deve funcionar de acordo com a seguinte lógica:
  1. O sinal da direção leste-oeste (L – O) deve estar verde quando ambas as pistas C e D estiverem ocupadas.
  2. O sinal da direção (L – O) deve estar verde quando ou C ou D estiverem ocupadas mas ambas as pistas A e B não estiverem.
  3. O sinal da direção norte-sul (N – S) deve estar verde quando ambas as pistas A e B estiverem ocupadas mas ambas as pistas C e D não estiverem.
  4. O sinal da direção (N – S) deve estar verde quando ou A ou B estiverem ocupadas e enquanto ambas as pistas C e D estiverem vazias.
  5. O sinal da direção (L – O) deve estar verde quando não houver nenhum veículo presente. Utilizando as saídas dos sensores A, B, C e D como entradas, projete um sistema em VHDL que controle esse sinal da trânsito. Devem existir duas saídas, N – S e L – O, que devem ir para ALTO quando o sinal correspondente tiver que estar verde. Simplifique o projeto ao máximo.