



Estude fácil! Tem muito documento disponível na Docsity
Ganhe pontos ajudando outros esrudantes ou compre um plano Premium
Prepare-se para as provas
Estude fácil! Tem muito documento disponível na Docsity
Prepare-se para as provas com trabalhos de outros alunos como você, aqui na Docsity
Os melhores documentos à venda: Trabalhos de alunos formados
Prepare-se com as videoaulas e exercícios resolvidos criados a partir da grade da sua Universidade
Responda perguntas de provas passadas e avalie sua preparação.
Ganhe pontos para baixar
Ganhe pontos ajudando outros esrudantes ou compre um plano Premium
Comunidade
Peça ajuda à comunidade e tire suas dúvidas relacionadas ao estudo
Descubra as melhores universidades em seu país de acordo com os usuários da Docsity
Guias grátis
Baixe gratuitamente nossos guias de estudo, métodos para diminuir a ansiedade, dicas de TCC preparadas pelos professores da Docsity
Lista de Exercício- Função de Transferência e Modelagem
Tipologia: Exercícios
1 / 6
Esta página não é visível na pré-visualização
Não perca as partes importantes!
Lista de Exercícios Sistemas Digitais – 02 Prof.: Alexandre Lopes. Data: 26/04/ Disciplina: Sistemas Digitais
entity MULTIPLEXER is port ( SEL: in std_logic; DI0: in std_logic; DI1: in std_logic; D0: out std_logic; ); end entity;
entity detector_poluicao is port (sensores : in bit_vector (0 to 6); alarme : out bit); end detector_poluicao; architecture estrutura of detector_poluicao is component contabits is port (entradas : in bit_vector 0 to 2); saidas : out bit_vector (0 to 1)); end component; component circuit_b is port ( entrada1, entrada2 : in bit_vector (0 to 1); entrada3 : in bit; saida : out bit); end component; signal conector1, conector2 : bit_vector (0 to 1); begin circ1: contabits port map(sensores (0 to 2), conector1); circ2: contabits port map(sensores (3 to 5), conector2); circ3: circuit_b port map(conector1, conector2, sensores(6), alarme); end estrutura;
entity NAND2 is port ( A, B : in Bit; Z: out Bit); end NAND2;